LPC845-DAC

cancel
Showing results for 
Show  only  | Search instead for 
Did you mean: 

LPC845-DAC

385 Views
VIGNESH_BABU
Contributor II

Hi,

I'm using the LPCXpresso845-MAX board. I want to use the DAC1 mode via pin PIO0_29. With reference to the LPC845 user manual UM11029, I can observe that there is a DAC MODE bit (number 16) in the IOCON register for DAC0, PIO0_17, which needs to be set to utilize DAC0, but there is no matching bit for DAC1 in the IOCON register for PIO0_29.
In PIO0_29, how do I adjust the DAC MODE for DAC1?

I saw your reply, (There isn't this bit, no need config DAC MODE bit.) but still this problem is not solved. Here I attached the configuration of DAC and SWM and IOCON register. So kindly update the solution for that problem.

0 Kudos
Reply
5 Replies

338 Views
xiangjun_rong
NXP TechSupport
NXP TechSupport

Hi,

I have run your application code, it appears the DAC0 works fine, the PIO0_17 can output voltage of DAC0. But It appears that the DAC1 does not work, in detail, PIO0_29 can not output voltage of DAC1.

I will report the issue to AE team and check something is missed in RM.

BR

XiangJun Rong

0 Kudos
Reply

364 Views
gusarambula
NXP TechSupport
NXP TechSupport

Hello Vignesh,

You mention a reply, is this thread a continuation of a previous one? If so, would you please this thread as to allow better tracking of this issue?

Regards,
Gustavo

0 Kudos
Reply

329 Views
VIGNESH_BABU
Contributor II

Hi gusarambula,

I have a work on the required DAC concept.Please Update ASAP.Thank you.

 

0 Kudos
Reply

307 Views
VIGNESH_BABU
Contributor II

Please convey the reply ASAP .

0 Kudos
Reply

274 Views
xiangjun_rong
NXP TechSupport
NXP TechSupport

Hi,

I suppose that you use LPCXPresso845MAX board, this is the PIO0_29 pin connection. If you want to test the PIO0_29 pin voltage, you have to test the pin 2 of SJ15.

I have tested your code, it is correct. when I output DAC 1023, the P0_29 pin voltage is 3.3V.

 

Hope it can help you

BR

XiangJun Rong

xiangjun_rong_0-1722320375355.png

 

0 Kudos
Reply