I.MX6Q Kernel bring up fail with SDCard. what I should do ?

cancel
Showing results for 
Show  only  | Search instead for 
Did you mean: 

I.MX6Q Kernel bring up fail with SDCard. what I should do ?

Jump to solution
2,166 Views
albatros74
Contributor I

HI everybody,

I'm trying to bring up with my test board. I have 2 board. one is working well, but other is not.

basic setting ; I.MX6Q, SD Card.

but it has a problem with below message.

I want to know what is problem in this board. 

if you have any idea. please let me know. ( I uploaded working log and error log )

 

==============================================================

U-Boot 2015.04 (Aug 07 2023 - 15:37:46)

CPU: Freescale i.MX6Q rev1.5 at 792 MHz
Reset cause: POR
I2C: ready
DRAM: 2 GiB
MMC: FSL_SDHC: 0, FSL_SDHC: 1
Using default environment

auto-detected panel AT070TN-WVGA
Display: AT070TN-WVGA (800x480)
In: serial
Out: serial
Err: serial

[READ] Logo image raw area loader


MMC read: dev # 0, block # 98304, count 32768 ... 32768 blocks read: OK
There is no valid bmp file at the given address
Net: using phy at 3
FEC [PRIME]
FEC MAC Address First IC find!
MAC Address [FC:0F:E7:23:E2:E9]
Hit any key to stop autoboot: 0
switch to partitions #0, OK
mmc1 is current device

[READ] Device Tree image raw area loader


MMC read: dev # 1, block # 2304, count 256 ... 256 blocks read: OK

[READ] Kernel image raw area loader


MMC read: dev # 1, block # 2560, count 13824 ... 13824 blocks read: OK
## Booting kernel from Legacy Image at 12000000 ...
Image Name: Linux-4.9.88
Image Type: ARM Linux Kernel Image (uncompressed)
Data Size: 5834536 Bytes = 5.6 MiB
Load Address: 10008000
Entry Point: 10008000
Verifying Checksum ... OK
## Flattened Device Tree blob at 11f00000
Booting using the fdt blob at 0x11f00000
Loading Kernel Image ... OK
Using Device Tree in place at 11f00000, end 11f0de29

Starting kernel ...

Booting Linux on physical CPU 0x0
Linux version 4.9.88 (ihchoi@ihchoi) (gcc version 6.4.0 (Buildroot 2018.02.9-g3fe68aa) ) #2 SMP PREEMPT Wed Aug 2 16:15:52 KST 2023
CPU: ARMv7 Processor [412fc09a] revision 10 (ARMv7), cr=10c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF: fdt:Machine model: Freescale i.MX6Dual/Quad Device Board
Reserved memory: created CMA memory pool at 0x6c000000, size 320 MiB
OF: reserved mem: initialized node linux,cma, compatible id shared-dma-pool
Memory policy: Data cache writealloc
percpu: Embedded 14 pages/cpu @daefe000 s26380 r8192 d22772 u57344
Built 1 zonelists in Zone order, mobility grouping on. Total pages: 520704
Kernel command line: console=ttymxc0,115200 root=/dev/mmcblk2p2 rootwait
PID hash table entries: 4096 (order: 2, 16384 bytes)
Dentry cache hash table entries: 262144 (order: 8, 1048576 bytes)
Inode-cache hash table entries: 131072 (order: 7, 524288 bytes)
Memory: 1737376K/2097152K available (8192K kernel code, 344K rwdata, 2348K rodata, 1024K init, 443K bss, 32096K reserved, 327680K cma-reserved, 262144K highmem)
Virtual kernel memory layout:
vector : 0xffff0000 - 0xffff1000 ( 4 kB)
fixmap : 0xffc00000 - 0xfff00000 (3072 kB)
vmalloc : 0xf0800000 - 0xff800000 ( 240 MB)
lowmem : 0x80000000 - 0xf0000000 (1792 MB)
pkmap : 0x7fe00000 - 0x80000000 ( 2 MB)
modules : 0x7f000000 - 0x7fe00000 ( 14 MB)
.text : 0x80008000 - 0x80900000 (9184 kB)
.init : 0x80c00000 - 0x80d00000 (1024 kB)
.data : 0x80d00000 - 0x80d561e0 ( 345 kB)
.bss : 0x80d561e0 - 0x80dc4e70 ( 444 kB)
SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=4, Nodes=1
Preemptible hierarchical RCU implementation.
Build-time adjustment of leaf fanout to 32.
NR_IRQS:16 nr_irqs:16 16
L2C: DT/platform modifies aux control register: 0x32070000 -> 0x32470000
L2C-310 errata 752271 769419 enabled
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 ID prefetch enabled, offset 16 lines
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 cache controller enabled, 16 ways, 1024 kB
L2C-310: CACHE_ID 0x410000c7, AUX_CTRL 0x76470001
Switching to timer-based delay loop, resolution 333ns
sched_clock: 32 bits at 3000kHz, resolution 333ns, wraps every 715827882841ns
clocksource: mxc_timer1: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 637086815595 ns
Console: colour dummy device 80x30
Calibrating delay loop (skipped), value calculated using timer frequency.. 6.00 BogoMIPS (lpj=6000)
pid_max: default: 32768 minimum: 301
Mount-cache hash table entries: 4096 (order: 2, 16384 bytes)
Mountpoint-cache hash table entries: 4096 (order: 2, 16384 bytes)
CPU: Testing write buffer coherency: ok
CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
Setting up static identity map for 0x10100000 - 0x10100058
CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
CPU2: thread -1, cpu 2, socket 0, mpidr 80000002
CPU3: thread -1, cpu 3, socket 0, mpidr 80000003
Brought up 4 CPUs
SMP: Total of 4 processors activated (24.00 BogoMIPS).
CPU: All CPU(s) started in SVC mode.
devtmpfs: initialized
VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 3822520892550000 ns
futex hash table entries: 1024 (order: 4, 65536 bytes)
pinctrl core: initialized pinctrl subsystem
NET: Registered protocol family 16
DMA: preallocated 256 KiB pool for atomic coherent allocations
cpuidle: using governor menu
CPU identified as i.MX6Q, silicon rev 1.5
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: maximum watchpoint size is 4 bytes.
imx6q-pinctrl 20e0000.iomuxc: initialized IMX pinctrl driver
imx-gpc 20dc000.gpc: no fsl,ldo-bypass found!
imx-gpc 20dc000.gpc: Registered imx-gpc
2020000.serial: ttymxc0 at MMIO 0x2020000 (irq = 25, base_baud = 5000000) is a IMX
console [ttymxc0] enabled
21e8000.serial: ttymxc1 at MMIO 0x21e8000 (irq = 69, base_baud = 5000000) is a IMX
21ec000.serial: ttymxc2 at MMIO 0x21ec000 (irq = 70, base_baud = 5000000) is a IMX
21f0000.serial: ttymxc3 at MMIO 0x21f0000 (irq = 71, base_baud = 5000000) is a IMX
21f4000.serial: ttymxc4 at MMIO 0x21f4000 (irq = 72, base_baud = 5000000) is a IMX
SCSI subsystem initialized
usbcore: registered new interface driver usbfs
usbcore: registered new interface driver hub
usbcore: registered new device driver usb
2000000.aips-bus:usbphy_nop1 supply vcc not found, using dummy regulator
2000000.aips-bus:usbphy_nop2 supply vcc not found, using dummy regulator
imx-i2c 21a0000.i2c: stop-delay=0, inter-byte-delay=0
i2c i2c-0: IMX I2C adapter registered
i2c i2c-0: can't use DMA, using PIO instead.
imx-i2c 21a4000.i2c: stop-delay=0, inter-byte-delay=0
i2c i2c-1: IMX I2C adapter registered
i2c i2c-1: can't use DMA, using PIO instead.
imx-i2c 21a8000.i2c: stop-delay=0, inter-byte-delay=0
i2c i2c-2: IMX I2C adapter registered
i2c i2c-2: can't use DMA, using PIO instead.
pps_core: LinuxPPS API ver. 1 registered
pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
PTP clock support registered
imx-ipuv3 2400000.ipu: IPU DMFC NORMAL mode: 1(0~1), 5B(4,5), 5F(6,7)
imx-ipuv3 2800000.ipu: IPU DMFC NORMAL mode: 1(0~1), 5B(4,5), 5F(6,7)
MIPI CSI2 driver module loaded
imx rpmsg driver is registered.
clocksource: Switched to clocksource mxc_timer1
VFS: Disk quotas dquot_6.6.0
VFS: Dquot-cache hash table entries: 1024 (order 0, 4096 bytes)
NET: Registered protocol family 2
TCP established hash table entries: 16384 (order: 4, 65536 bytes)
TCP bind hash table entries: 16384 (order: 5, 131072 bytes)
TCP: Hash tables configured (established 16384 bind 16384)
UDP hash table entries: 1024 (order: 3, 32768 bytes)
UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes)
NET: Registered protocol family 1
RPC: Registered named UNIX socket transport module.
RPC: Registered udp transport module.
RPC: Registered tcp transport module.
RPC: Registered tcp NFSv4.1 backchannel transport module.
hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
Bus freq driver module loaded
workingset: timestamp_bits=30 max_order=19 bucket_order=0
squashfs: version 4.0 (2009/01/31) Phillip Lougher
NFS: Registering the id_resolver key type
Key type id_resolver registered
Key type id_legacy registered
nfs4filelayout_init: NFSv4 File Layout Driver Registering...
ntfs: driver 2.1.32 [Flags: R/W].
fuse init (API version 7.26)
bounce: pool size: 64 pages
io scheduler noop registered
io scheduler deadline registered
io scheduler cfq registered (default)
backlight supply power not found, using dummy regulator
LCD panel [AT070TN83-WVG]
mxc_sdc_fb fb@0: registered mxc display driver lcd
mxc_sdc_fb fb@0: 800x480 h_sync,r,l: 2,209,45 v_sync,l,u: 1,132,22 pixclock=40234000 Hz
imx-ipuv3 2400000.ipu: try ipu internal clk
imx-ipuv3 2400000.ipu: disp=0, pixel_clk=40234000 40234000 parent=40234000 div=1
find_field: [0] = 0x7ff, max=23
find_field: [1] = 0xfff, max=23
find_field: [2] = 0x17ff, max=23
find_field: [0] = 0x820, max=29
mxc_sdc_fb fb@0: 800x480 h_sync,r,l: 2,209,45 v_sync,l,u: 1,132,22 pixclock=40234000 Hz
imx-ipuv3 2400000.ipu: try ipu internal clk
imx-ipuv3 2400000.ipu: disp=0, pixel_clk=40234000 40234000 parent=40234000 div=1
Console: switching to colour frame buffer device 100x30
imx-sdma 20ec000.sdma: no iram assigned, using external mem
imx-sdma 20ec000.sdma: loaded firmware 3.3
pfuze100-regulator 1-0008: Full layer: 2, Metal layer: 1
pfuze100-regulator 1-0008: FAB: 0, FIN: 0
pfuze100-regulator 1-0008: pfuze100 found.
imx sema4 driver is registered.
[drm] Initialized
[drm] Initialized vivante 1.0.0 20120216 on minor 0
loop: module loaded
nbd: registered device at major 43
spi_gpio spi: gpio-miso property not found, switching to no-rx mode
spi_gpio spi: cs8 >= max 8
spi_master spi32766: spi_device register error /spi/dac60501@8
spi_master spi32766: Failed to create SPI device for /spi/dac60501@8
spi_imx 2018000.ecspi: probed
libphy: Fixed MDIO Bus: probed
CAN device driver interface
2090000.flexcan supply xceiver not found, using dummy regulator
flexcan 2090000.flexcan: device registered (reg_base=f0974000, irq=30)
imx6q-pinctrl 20e0000.iomuxc: pin MX6Q_PAD_KEY_ROW4 already requested by 20e0000.iomuxc; cannot claim for 2094000.flexcan
imx6q-pinctrl 20e0000.iomuxc: pin-135 (2094000.flexcan) status -22
imx6q-pinctrl 20e0000.iomuxc: could not request pin 135 (MX6Q_PAD_KEY_ROW4) from group flexcan2grp on device 20e0000.iomuxc
flexcan 2094000.flexcan: Error applying setting, reverse things back
flexcan: probe of 2094000.flexcan failed with error -22
2188000.ethernet supply phy not found, using dummy regulator
pps pps0: new PPS source ptp0
random: fast init done
libphy: fec_enet_mii_bus: probed
fec 2188000.ethernet eth0: registered PHC device 0
PPP generic driver version 2.4.2
PPP BSD Compression module registered
PPP Deflate Compression module registered
usbcore: registered new interface driver ath9k_htc
usbcore: registered new interface driver cdc_ether
usbcore: registered new interface driver cdc_eem
usbcore: registered new interface driver smsc95xx
usbcore: registered new interface driver cdc_ncm
usbcore: registered new interface driver cdc_mbim
ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
ehci-pci: EHCI PCI platform driver
usbcore: registered new interface driver cdc_wdm
usbcore: registered new interface driver usb-storage
usbcore: registered new interface driver usbserial
usbcore: registered new interface driver usbserial_generic
usbserial: USB Serial support registered for generic
usbcore: registered new interface driver cp210x
usbserial: USB Serial support registered for cp210x
usbcore: registered new interface driver ftdi_sio
usbserial: USB Serial support registered for FTDI USB Serial Device
usbcore: registered new interface driver keyspan
usbserial: USB Serial support registered for Keyspan - (without firmware)
usbserial: USB Serial support registered for Keyspan 1 port adapter
usbserial: USB Serial support registered for Keyspan 2 port adapter
usbserial: USB Serial support registered for Keyspan 4 port adapter
2184800.usbmisc supply vbus-wakeup not found, using dummy regulator
setup_reset_gpios:-2, flags 0
ci_hdrc ci_hdrc.0: doesn't support gadget
ci_hdrc ci_hdrc.0: EHCI Host Controller
ci_hdrc ci_hdrc.0: new USB bus registered, assigned bus number 1
ci_hdrc ci_hdrc.0: USB 2.0 started, EHCI 1.00
usb usb1: New USB device found, idVendor=1d6b, idProduct=0002
usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
usb usb1: Product: EHCI Host Controller
usb usb1: Manufacturer: Linux 4.9.88 ehci_hcd
usb usb1: SerialNumber: ci_hdrc.0
hub 1-0:1.0: USB hub found
hub 1-0:1.0: 1 port detected
setup_reset_gpios:-2, flags 0
ci_hdrc ci_hdrc.1: EHCI Host Controller
ci_hdrc ci_hdrc.1: new USB bus registered, assigned bus number 2
ci_hdrc ci_hdrc.1: USB 2.0 started, EHCI 1.00
usb usb2: New USB device found, idVendor=1d6b, idProduct=0002
usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
usb usb2: Product: EHCI Host Controller
usb usb2: Manufacturer: Linux 4.9.88 ehci_hcd
usb usb2: SerialNumber: ci_hdrc.1
hub 2-0:1.0: USB hub found
hub 2-0:1.0: 1 port detected
mousedev: PS/2 mouse device common for all mice
usbcore: registered new interface driver usbtouchscreen
input: TSC2007 Touchscreen as /devices/soc0/soc/2100000.aips-bus/21a8000.i2c/i2c-2/2-0048/input/input0
rtc-ds1307 0-0068: rtc core: registered ds1339 as rtc0
i2c /dev entries driver
imx2-wdt 20bc000.wdog: timeout 60 sec (nowayout=0)
sdhci: Secure Digital Host Controller Interface driver
sdhci: Copyright(c) Pierre Ossman
sdhci-pltfm: SDHCI platform and OF driver helper
sdhci-esdhc-imx 2198000.usdhc: could not get ultra high speed state, work on normal mode
sdhci-esdhc-imx 2198000.usdhc: Got CD GPIO
sdhci-esdhc-imx 2198000.usdhc: Got WP GPIO
mmc2: SDHCI controller on 2198000.usdhc [2198000.usdhc] using ADMA
sdhci-esdhc-imx 219c000.usdhc: could not get ultra high speed state, work on normal mode
mmc3: SDHCI controller on 219c000.usdhc [219c000.usdhc] using ADMA
mxc_vpu 2040000.vpu_fsl: VPU initialized
mxc_vdoa 21e4000.vdoa: i.MX Video Data Order Adapter(VDOA) driver probed
Galcore version 6.2.2.93313
------------[ cut here ]------------
WARNING: CPU: 3 PID: 1 at /home/ihchoi/project/git_src/integrated-2/kernel/linux-imx6_4.9.88/kernel/sched/core.c:3150 preempt_count_add+0x11c/0x174
mmc2: new high speed SDXC card at address 13ab
mmcblk mmc2:13ab: no of_node; not parsing pinctrl DT
mmcblk2: mmc2:13ab SE064 57.6 GiB (ro)
mmcblk2: p1 p2
DEBUG_LOCKS_WARN_ON((preempt_count() < 0))Modules linked in:
CPU: 3 PID: 1 Comm: swapper/0 Not tainted 4.9.88 #2
Hardware name: Freescale i.MX6 Quad/DualLite (Device Tree)
Backtrace:
[<8010b92c>] (dump_backtrace) from [<8010bbe4>] (show_stack+0x18/0x1c)
mmc3: new DDR MMC card at address 0001
mmcblk mmc3:0001: no of_node; not parsing pinctrl DT
mmcblk3: mmc3:0001 4FTE4R 3.64 GiB
mmcblk3boot0: mmc3:0001 4FTE4R partition 1 4.00 MiB
mmcblk3boot1: mmc3:0001 4FTE4R partition 2 4.00 MiB
mmcblk3rpmb: mmc3:0001 4FTE4R partition 3 512 KiB
r7:60000093 r6:80d19f70 r5:00000000 r4:80d19f70
usb 2-1: new high-speed USB device number 2 using ci_hdrc
[<8010bbcc>] (show_stack) from [<803e0c44>] (dump_stack+0x80/0x94)
[<803e0bc4>] (dump_stack) from [<80128af4>] (__warn+0xec/0x104)
r7:00000009 r6:80a7cd38 r5:00000000 r4:d805d720
[<80128a08>] (__warn) from [<80128b4c>] (warn_slowpath_fmt+0x40/0x48)
r9:000746d4 r8:00000005 r7:000746d4 r6:ffffe000 r5:808ac728 r4:80a7ce7c
[<80128b10>] (warn_slowpath_fmt) from [<8014bc14>] (preempt_count_add+0x11c/0x174)
r3:80a7ce64 r2:80a7ce7c
r4:00000001
[<8014baf8>] (preempt_count_add) from [<808ac728>] (_raw_spin_lock+0x18/0x58)
r7:000746d4 r6:80d55140 r5:dbbe4a80 r4:80d5549c
[<808ac710>] (_raw_spin_lock) from [<801c842c>] (free_one_page+0x30/0x318)
r5:dbbe4a80 r4:00000000
[<801c83fc>] (free_one_page) from [<801ca1a8>] (free_hot_cold_page+0x1dc/0x1e4)
r10:a0000013 r9:000746d4 r8:00000000 r7:80d55140 r6:80d55140 r5:00000000
r4:dbbe4a80
[<801c9fcc>] (free_hot_cold_page) from [<801ca200>] (__free_pages+0x50/0x54)
r10:80d063c4 r9:8020ba68 r8:80da3344 r7:80d063c4 r6:00076000 r5:00000000
r4:00000000
[<801ca1b0>] (__free_pages) from [<801cd090>] (free_contig_range+0x68/0x98)
r5:00000000 r4:000746d5
[<801cd028>] (free_contig_range) from [<801cd3fc>] (alloc_contig_range+0x33c/0x344)
r9:8020ba68 r8:00074200 r7:00074200 r6:d805c000 r5:00076000 r4:0006c200
[<801cd0c0>] (alloc_contig_range) from [<8020bc20>] (cma_alloc+0xdc/0x1a0)
r10:80d11bdc r9:00008000 r8:00014000 r7:00000647 r6:0006c200 r5:80da50b0
usb 2-1: New USB device found, idVendor=0424, idProduct=9514
usb 2-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0
usb 2-1: no of_node; not parsing pinctrl DT
hub 2-1:1.0: no of_node; not parsing pinctrl DT
hub 2-1:1.0: USB hub found
hub 2-1:1.0: 5 ports detected
r4:00000200
[<8020bb44>] (cma_alloc) from [<804f967c>] (dma_alloc_from_contiguous+0x40/0x44)
r10:d8102010 r9:00000000 r8:d805d9a0 r7:00000647 r6:00008000 r5:00000001
r4:08000000
[<804f963c>] (dma_alloc_from_contiguous) from [<80114268>] (__alloc_from_contiguous+0x40/0xe0)
[<80114228>] (__alloc_from_contiguous) from [<80114344>] (cma_allocator_alloc+0x3c/0x44)
r10:d87bd840 r9:00000000 r8:024002c0 r7:00000000 r6:ffffffff r5:d8102010
r4:00000000
[<80114308>] (cma_allocator_alloc) from [<801144c8>] (__dma_alloc+0x17c/0x344)
r5:d87bd804 r4:d8102010
[<8011434c>] (__dma_alloc) from [<8011471c>] (arm_dma_alloc+0x48/0x50)
r10:d87bd804 r9:d87bd800 r8:d8814100 r7:801146d4 r6:d87bd4c0 r5:08000000
r4:00000004
[<801146d4>] (arm_dma_alloc) from [<806b1fd8>] (_CMAFSLAlloc+0xe8/0x174)
r4:d8832000
[<806b1ef0>] (_CMAFSLAlloc) from [<80681acc>] (gckOS_AllocateNonPagedMemory+0xe8/0x2a4)
r10:806b1ef0 r9:d8832000 r8:08000000 r7:d8814100 r6:d8832078 r5:00000001
r4:d87bd480
[<806819e4>] (gckOS_AllocateNonPagedMemory) from [<8068318c>] (gckOS_AllocateContiguous+0x4c/0x60)
r10:d805db98 r9:00000000 r8:d881005c r7:02208000 r6:d8810060 r5:d8810158
r4:08000000
[<80683140>] (gckOS_AllocateContiguous) from [<8067fdb4>] (gckGALDEVICE_Construct+0x36c/0x9f8)
r4:d8810000
[<8067fa48>] (gckGALDEVICE_Construct) from [<806887a4>] (drv_init+0x1d4/0x338)
r10:00016c81 r9:d805dc00 r8:d805db98 r7:80d32f34 r6:00000000 r5:80db6658
r4:80d32e30
[<806885d0>] (drv_init) from [<80688b5c>] (gpu_probe+0x1fc/0x214)
r10:d805dd70 r9:80d32f34 r8:d8102000 r7:d805dd98 r6:d805dd20 r5:80db66cc
r4:80db6658
[<80688960>] (gpu_probe) from [<804f41c8>] (platform_drv_probe+0x58/0xb8)
r10:80c4683c r9:00000007 r8:00000000 r7:fffffdfb r6:80d32e44 r5:00000000
r4:d8102010
[<804f4170>] (platform_drv_probe) from [<804f2744>] (driver_probe_device+0x20c/0x2b8)
r7:80d32e44 r6:00000000 r5:d8102010 r4:80db0888
[<804f2538>] (driver_probe_device) from [<804f28b0>] (__driver_attach+0xc0/0xc4)
r9:00000007 r8:00000000 r7:00000000 r6:d8102044 r5:80d32e44 r4:d8102010
[<804f27f0>] (__driver_attach) from [<804f08ec>] (bus_for_each_dev+0x70/0xa4)
r7:00000000 r6:804f27f0 r5:80d32e44 r4:00000000
[<804f087c>] (bus_for_each_dev) from [<804f1fac>] (driver_attach+0x24/0x28)
r6:80d250c8 r5:d87c0780 r4:80d32e44
[<804f1f88>] (driver_attach) from [<804f1ae4>] (bus_add_driver+0x108/0x214)
[<804f19dc>] (bus_add_driver) from [<804f3078>] (driver_register+0x80/0xfc)
r7:80c46834 r6:80d56200 r5:80db6658 r4:80d32e44
[<804f2ff8>] (driver_register) from [<804f4124>] (__platform_driver_register+0x48/0x50)
r5:80db6658 r4:80db6658
[<804f40dc>] (__platform_driver_register) from [<80c315fc>] (gpu_init+0x12c/0x168)
[<80c314d0>] (gpu_init) from [<801017dc>] (do_one_initcall+0x4c/0x174)
r5:ffffe000 r4:80c314d0
[<80101790>] (do_one_initcall) from [<80c00e98>] (kernel_init_freeable+0x16c/0x200)
r8:80d56200 r7:80c46834 r6:80d56200 r5:80caed64 r4:80b48e04
usb 2-1.1: new high-speed USB device number 3 using ci_hdrc
[<80c00d2c>] (kernel_init_freeable) from [<808a7dc8>] (kernel_init+0x10/0x118)
r10:00000000 r9:00000000 r8:00000000 r7:00000000 r6:00000000 r5:808a7db8
r4:00000000
[<808a7db8>] (kernel_init) from [<80107bb0>] (ret_from_fork+0x14/0x24)
r5:808a7db8 r4:00000000
---[ end trace 704774fea2cb2307 ]---
Unable to handle kernel paging request at virtual address ffffffc4
pgd = 80004000
[ffffffc4] *pgd=6bf5e861, *pte=00000000, *ppte=00000000
Internal error: Oops: 37 [#1] PREEMPT SMP ARM
Modules linked in:
CPU: 3 PID: 1 Comm: swapper/0 Tainted: G W 4.9.88 #2
Hardware name: Freescale i.MX6 Quad/DualLite (Device Tree)
task: d8078000 task.stack: d805c000
PC is at _raw_spin_unlock+0x38/0x58
LR is at _raw_spin_unlock+0x2c/0x58
pc : [<808aca08>] lr : [<808ac9fc>] psr: 00000093
sp : d805d770 ip : d805d770 fp : d805d77c
r10: 00000000 r9 : 00000001 r8 : dbad8e34
r7 : 00000002 r6 : 80d55140 r5 : dbbe5180 r4 : 80d55174
r3 : ffffffc0 r2 : 00000001 r1 : dbbe5194 r0 : 00000000
usb 2-1.1: New USB device found, idVendor=0424, idProduct=ec00
usb 2-1.1: New USB device strings: Mfr=0, Product=0, SerialNumber=0
usb 2-1.1: no of_node; not parsing pinctrl DT
smsc95xx 2-1.1:1.0: no of_node; not parsing pinctrl DT
smsc95xx v1.0.5
Flags: nzcv IRQs off FIQs on Mode SVC_32 ISA ARM Segment none
Control: 10c5387d Table: 1000404a DAC: 00000051
Process swapper/0 (pid: 1, stack limit = 0xd805c210)
Stack: (0xd805d770 to 0xd805e000)
d760: d805d7bc d805d780 801c8670 808ac9dc
d780: dbbe4a80 00000028 00000028 80d5549c 00000000 dbbe51a0 00000000 80d55140
d7a0: 80d55140 00000000 0007470d a0000013 d805d7fc d805d7c0 801ca1a8 801c8408
d7c0: 00000005 dbadb014 80d9e970 00076000 00074200 00000000 00000000 00076000
smsc95xx 2-1.1:1.0 eth1: register 'smsc95xx' at usb-ci_hdrc.1-1.1, smsc95xx USB 2.0 Ethernet, 06:bc:9f:47:4c:aa
d7e0: 80d063c4 80da3344 8020ba68 80d063c4 d805d814 d805d800 801ca200 801c9fd8
d800: 0007470e 00000000 d805d83c d805d818 801cd090 801ca1bc 0006c200 00076000
d820: d805c000 00074200 00074200 8020ba68 d805d8d4 d805d840 801cd3fc 801cd034
d840: 00000002 00000006 00000000 00000000 00000004 0006c000 00076000 00000000
d860: 8010a058 00000000 00000000 d805d86c d805d86c 00009e00 00000000 00000000
d880: 00000000 00000000 00000002 00000001 ffffffff 00000000 00000000 00000000
d8a0: 80d55140 00000000 00008000 00000200 80da50b0 0006c200 00000647 00014000
d8c0: 00008000 80d11bdc d805d91c d805d8d8 8020bc20 801cd0cc 000000ff 00000000
d8e0: 00008000 00000000 000000ff 80da50c0 809ddcec 08000000 00000001 00008000
d900: 00000647 d805d9a0 00000000 d8102010 d805d92c d805d920 804f967c 8020bb50
d920: d805d964 d805d930 80114268 804f9648 8010a058 8010b5a4 00000000 00000000
usb 2-1.5: new full-speed USB device number 4 using ci_hdrc
d940: d8102010 ffffffff 00000000 024002c0 00000000 d87bd840 d805d98c d805d968
d960: 80114344 80114234 806b1fd8 00000001 00000000 024002c0 d8102010 d87bd804
d980: d805d9ec d805d990 801144c8 80114314 d805d9bc d601d7f8 000081a4 d87bd6c0
d9a0: 00000000 d8102010 08000000 024002c0 00000647 806b1fd8 8037a001 00000000
d9c0: d601d824 00000004 08000000 d87bd4c0 801146d4 d8814100 d87bd800 d87bd804
d9e0: d805da14 d805d9f0 8011471c 80114358 00000647 00000000 00000004 806b1fd8
da00: d87bd800 d8832000 d805da5c d805da18 806b1fd8 801146e0 00000004 08000000
da20: d8810158 d8102010 d87bd800 0800000f d8832000 d87bd480 00000001 d8832078
da40: d8814100 08000000 d8832000 806b1ef0 d805daa4 d805da60 80681acc 806b1efc
da60: d8810060 d805db0c 00000000 00008000 806815b0 8020868c 00000000 08000000
da80: d8810158 d8810060 02208000 d881005c 00000000 d805db98 d805dac4 d805daa8
daa0: 8068318c 806819f0 d881005c d8810158 d88100a8 d8810000 d805db44 d805dac8
dac0: 8067fdb4 8068314c d881005c 80d9aaa8 d805dafc d805db3c 801710f0 d805dc28
usb 2-1.5: New USB device found, idVendor=10c4, idProduct=ea71
usb 2-1.5: New USB device strings: Mfr=1, Product=2, SerialNumber=7
usb 2-1.5: Product: CP2108 Quad USB to UART Bridge Controller
usb 2-1.5: Manufacturer: Silicon Labs
usb 2-1.5: SerialNumber: 50F00E0D79339FB811EC8F39B76E4F0
usb 2-1.5: no of_node; not parsing pinctrl DT
cp210x 2-1.5:1.0: no of_node; not parsing pinctrl DT
cp210x 2-1.5:1.0: cp210x converter detected
cp210x ttyUSB0: no of_node; not parsing pinctrl DT
usb 2-1.5: cp210x converter now attached to ttyUSB0
cp210x 2-1.5:1.1: no of_node; not parsing pinctrl DT
cp210x 2-1.5:1.1: cp210x converter detected
cp210x ttyUSB1: no of_node; not parsing pinctrl DT
usb 2-1.5: cp210x converter now attached to ttyUSB1
cp210x 2-1.5:1.2: no of_node; not parsing pinctrl DT
cp210x 2-1.5:1.2: cp210x converter detected
cp210x ttyUSB2: no of_node; not parsing pinctrl DT
usb 2-1.5: cp210x converter now attached to ttyUSB2
cp210x 2-1.5:1.3: no of_node; not parsing pinctrl DT
cp210x 2-1.5:1.3: cp210x converter detected
cp210x ttyUSB3: no of_node; not parsing pinctrl DT
usb 2-1.5: cp210x converter now attached to ttyUSB3
dae0: d8810130 d8810134 00004000 00000000 d805db14 00000000 00000000 00000000
db00: 00000000 d805db3c d805db34 08000000 801c1704 801710fc 00000000 80d32e30
db20: 80db6658 00000000 80d32f34 d805db98 d805dc00 00016c81 d805dc8c d805db48
db40: 806887a4 8067fa54 00134000 00004000 00000016 02204000 00004000 00000000
db60: 08000000 00000000 ffffffff ffffffff 10000000 80000000 00000030 00000000
db80: 00000001 00000000 d805db98 d805db94 00000000 00000000 00000000 00000000
dba0: 00000001 00000000 80db6658 00000001 00000000 00000000 ffffffff ffffffff
dbc0: ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff
dbe0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000
dc00: 00000000 00000000 00000800 00000800 00000800 00000800 00000800 00000800
dc20: 00000800 00000800 00000800 00000800 00000001 00000000 ffffffff ffffffff
dc40: ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff
dc60: 8046e248 80db6658 80db66cc d805dd20 d805dd98 d8102000 80d32f34 d805dd70
dc80: d805ddcc d805dc90 80688b5c 806885dc 8046e65c 00000014 00130000 00004000
dca0: 00000015 00134000 00004000 00000016 02204000 00004000 08000000 00000000
dcc0: 00000000 00000000 ffffffff ffffffff 00000001 00000000 00000030 10000000
dce0: 80000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000
dd00: ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff
dd20: ffffffff ffffffff 00000000 00000000 00000000 00000000 00000000 00000000
dd40: 00000000 00000000 00000000 00000000 00000800 00000800 00000800 00000800
dd60: 00000800 00000800 00000800 00000800 00000800 00000800 ffffffff ffffffff
dd80: ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff ffffffff
dda0: 80d32e44 d8102010 00000000 80d32e44 fffffdfb 00000000 00000007 80c4683c
ddc0: d805ddec d805ddd0 804f41c8 8068896c 80db0888 d8102010 00000000 80d32e44
dde0: d805de14 d805ddf0 804f2744 804f417c d8102010 80d32e44 d8102044 00000000
de00: 00000000 00000007 d805de34 d805de18 804f28b0 804f2544 00000000 80d32e44
de20: 804f27f0 00000000 d805de5c d805de38 804f08ec 804f27fc d804135c d810d334
de40: 808ac9fc 80d32e44 d87c0780 80d250c8 d805de6c d805de60 804f1fac 804f0888
de60: d805de94 d805de70 804f1ae4 804f1f94 80ae9594 d805de80 80d32e44 80db6658
de80: 80d56200 80c46834 d805deac d805de98 804f3078 804f19e8 80db6658 80db6658
dea0: d805debc d805deb0 804f4124 804f3004 d805ded4 d805dec0 80c315fc 804f40e8
dec0: 80c314d0 ffffe000 d805df4c d805ded8 801017dc 80c314dc 80145308 803e9394
dee0: dbfff9eb 80962268 d805df00 d805def8 80145618 80c00628 d805df34 80a7c8f0
df00: 80a7c100 80a85e28 00000006 00000006 00000000 80b48e04 80adcf84 00000000
df20: 80c46818 80b48e04 80d56200 80b48e04 80caed64 80d56200 80c46834 80d56200
df40: d805df94 d805df50 80c00e98 8010179c 00000006 00000006 00000000 80c0061c
df60: 80c0061c 000000f5 ffffffff 00000000 808a7db8 00000000 00000000 00000000
df80: 00000000 00000000 d805dfac d805df98 808a7dc8 80c00d38 00000000 808a7db8
dfa0: 00000000 d805dfb0 80107bb0 808a7dc4 00000000 00000000 00000000 00000000
dfc0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000
dfe0: 00000000 00000000 00000000 00000000 00000013 00000000 ffffffff ffffffff
Backtrace:
[<808ac9d0>] (_raw_spin_unlock) from [<801c8670>] (free_one_page+0x274/0x318)
[<801c83fc>] (free_one_page) from [<801ca1a8>] (free_hot_cold_page+0x1dc/0x1e4)
r10:a0000013 r9:0007470d r8:00000000 r7:80d55140 r6:80d55140 r5:00000000
r4:dbbe51a0
[<801c9fcc>] (free_hot_cold_page) from [<801ca200>] (__free_pages+0x50/0x54)
r10:80d063c4 r9:8020ba68 r8:80da3344 r7:80d063c4 r6:00076000 r5:00000000
r4:00000000
[<801ca1b0>] (__free_pages) from [<801cd090>] (free_contig_range+0x68/0x98)
r5:00000000 r4:0007470e

 
 
 
 
 
 
0 Kudos
Reply
1 Solution
1,664 Views
pengyong_zhang
NXP Employee
NXP Employee

Hi, @albatros74 

>>>HW team remade it ( circuit is the same, but PCB artwork is remaded. some parts changed but specification is almost same)

A : Sorry I don't know the detail PCB remaded, and we do not support PCB review.

Suggest you check whether the new board's PCB design is OK according to the design document I shared with you

View solution in original post

0 Kudos
Reply
19 Replies
1,665 Views
pengyong_zhang
NXP Employee
NXP Employee

Hi, @albatros74 

>>>HW team remade it ( circuit is the same, but PCB artwork is remaded. some parts changed but specification is almost same)

A : Sorry I don't know the detail PCB remaded, and we do not support PCB review.

Suggest you check whether the new board's PCB design is OK according to the design document I shared with you

0 Kudos
Reply
1,407 Views
albatros74
Contributor I

Hi pengyong


device bring up is success. but it has a problem.

I disabled kernel configuration some items.

List: 

"Symmetric multi-processing"
   - allow booting SMP kernel on uniprocessor systems
   - support cpu topology definition

I want to know how to solve or reason.

 

BR

 
 
0 Kudos
Reply
1,359 Views
albatros74
Contributor I

Hi pengyong

I solved this issue with HW support. HW team find some power line issue.

Thank you for your support.

BR

 

 
 
 
0 Kudos
Reply
1,746 Views
pengyong_zhang
NXP Employee
NXP Employee

Hi, @albatros74 

I don't think it is your HW issue, Sorry I can not reproducte your issue on my site.

1. Please use the same SD card, same Image. run on the working old board and new not working borad boot. Do not change any code. Share your Full boot log to me.

2. Your DDR is no problem, otherwise the uboot can not boot.

3. >>>so, they find new part but it is the same clock and specification is almost same.

Please double check only this different between old board with new board?

4. Your PCB design can refer to the attachment.

B.R

0 Kudos
Reply
1,743 Views
albatros74
Contributor I

I don't think it is your HW issue, Sorry I can not reproducte your issue on my site.

1. Please use the same SD card, same Image. run on the working old board and new not working borad boot. Do not change any code. Share your Full boot log to me.


: my board is customized IMX6Q board(DDR/SD/EMMC/IMX6Q core board). HW team  made it with  other company. that is old board.
  old board is working well. but it has some issue about mass product and license. so HW team needs to remake customize board on our own ( other company can't help now)

HW team remade it ( circuit is the same, but PCB artwork is remaded. some parts changed but specification is almost same)

 old board is working well with sdcard and emmc. but new board is not.

 so, I'm finding the reason now.  I don't change sw. I only change someting for testing ( arm freq, bus freq, ddr test ..etc )

  I want to know what it makes this issue. if it is depends on pcb artwork. I will suggeest re-artwork.

  but, if I change some point ( clock / kernel configuration), and it will help to bring up.
  I will talk next action about this. 

 

2. Your DDR is no problem, otherwise the uboot can not boot.

   : DDR stress test is OK, ( not tested aging but, basic test is no issue)

 

3. >>>so, they find new part but it is the same clock and specification is almost same.

Please double check only this different between old board with new board?

   : I will check again the difference and update it

 

4. Your PCB design can refer to the attachment.

   : Thank you so much, I share it to HW, HW team will check.

 

BR 

 
 
0 Kudos
Reply
1,759 Views
pengyong_zhang
NXP Employee
NXP Employee

Hi, @albatros74 

Please add your desired cpu frequency to the imx6q.dtsi file, modified in the following location:

Then run the command on the uboot.

*************************************************************************

            operating-points = <
                /* kHz    uV */
                1200000 1275000
                996000  1250000
                852000  1250000
                792000  1175000
                396000  975000
            >;
            fsl,soc-operating-points = <
                /* ARM kHz  SOC-PU uV */
                1200000 1275000
                996000  1250000
                852000  1250000
                792000  1175000
                396000  1175000
            >;
*************************************************************************
B.R
0 Kudos
Reply
1,750 Views
albatros74
Contributor I

Hi Pengyong

I checked the clock 

changed all low / mid / high.  like below ( example : fix low clock )

but it is not work. so, I'm check the DDR now.

 

 operating-points = <
                /* kHz    uV */
                396000  975000
                396000  975000
                396000  975000
                396000  975000
                396000  975000
            >;
            fsl,soc-operating-points = <
                /* ARM kHz  SOC-PU uV */
                396000  975000
                396000  975000
                396000  975000
                396000  975000
                396000  975000
            >;
 
I think that it is related with PDN ( Power distribution network), 
Did NXP support the PDN simulation ?
if yes, how to request.
if no, Did you have any information of PDN or PCB artwrok reference.
 
BR
 
 
 
0 Kudos
Reply
1,756 Views
albatros74
Contributor I

Hi pengyong_zhang

 

Thank you, I will check it.

BR

 

0 Kudos
Reply
1,797 Views
pengyong_zhang
NXP Employee
NXP Employee

Hi, @albatros74 

>>>so, if it is possbile. I want to execute demo version. but I can't find the demo version.

It is to old demo, Now can not find it.

About change cpu frequency, I think the following link can help you. 

one can refer to Chapter 23 CPU Frequency Scaling (CPUFREQ) Driver

attached Linux Manual.

https://community.nxp.com/t5/i-MX-Processors/i-mx6q-cpu-frequency-question/m-p/907442

0 Kudos
Reply
1,780 Views
albatros74
Contributor I

Hi Pengyong

I want to change cpu clock but it's not bring up.

so, I can't change cpu clock with command.

so, I need to example code for default bring up setting.

BR

 

 
 
 
0 Kudos
Reply
2,069 Views
pengyong_zhang
NXP Employee
NXP Employee

Hi, @albatros74 

About change the DDR frequency, you can use the DDR Stress Tool as folllowing link:

https://community.nxp.com/t5/i-MX-Processors-Knowledge-Base/i-MX-6-7-Series-DDR-Tool-Release/ta-p/12...

The CPU frquency, 

you can add boot command in the uboot to set it run on different Mhz.

For example : "arm_freq=800"

0 Kudos
Reply
2,058 Views
albatros74
Contributor I

Hi Pengyong Zhang

I'm trying to check your comment now. 

and I find the other contenest in this site

 

https://community.nxp.com/t5/i-MX-Processors/ImX6Q-stall/td-p/319412

 

out issue and above issue is very similar. HW team will check the voltage.

so, if it is possbile. I want to execute demo version. but I can't find the demo version.

Do you know that version ?

 

 

 

 

 

 
 
0 Kudos
Reply
2,048 Views
albatros74
Contributor I

I tested arm_ freq, but it is the same issue.

I uploaded full log.

 

+++
Starting kernel ...

albatros : bootstage_fdt_add_report
albatros : bootstage_report


albatros : udc_disconnect
albatros : cleanup_before_linux
Booting Linux on physical CPU 0x0
Linux version 4.9.88 (root@KJ-VirtualBox) (gcc version 6.4.0 (Buildroot 2018.02.9-g0ef0a03-dirty) ) #3 SMP PREEMPT Thu Apr 11 14:34:22 KST 2024
CPU: ARMv7 Processor [412fc09a] revision 10 (ARMv7), cr=10c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF: fdt:Machine model: Freescale i.MX6Dual/Quad Device Board
Reserved memory: created CMA memory pool at 0x6c000000, size 320 MiB
OF: reserved mem: initialized node linux,cma, compatible id shared-dma-pool
Memory policy: Data cache writealloc
percpu: Embedded 14 pages/cpu @daefe000 s26380 r8192 d22772 u57344
Built 1 zonelists in Zone order, mobility grouping on. Total pages: 520704
Kernel command line: console=ttymxc0,115200 root=/dev/mmcblk2p2 arm_freq=396 rootwait

(I changed arm_freq, 800Mhz, 533Mhz, 396Mhz. but it's the same issue)

PID hash table entries: 4096 (order: 2, 16384 bytes)
Dentry cache hash table entries: 262144 (order: 8, 1048576 bytes)
Inode-cache hash table entries: 131072 (order: 7, 524288 bytes)
Memory: 1736332K/2097152K available (8192K kernel code, 363K rwdata, 2344K rodata, 2048K init, 443K bss, 33140K reserved, 327680K cma-reserved, 262144K highmem)
Virtual kernel memory layout:
vector : 0xffff0000 - 0xffff1000 ( 4 kB)
fixmap : 0xffc00000 - 0xfff00000 (3072 kB)
vmalloc : 0xf0800000 - 0xff800000 ( 240 MB)
lowmem : 0x80000000 - 0xf0000000 (1792 MB)
pkmap : 0x7fe00000 - 0x80000000 ( 2 MB)
modules : 0x7f000000 - 0x7fe00000 ( 14 MB)
.text : 0x80008000 - 0x80900000 (9184 kB)
.init : 0x80c00000 - 0x80e00000 (2048 kB)
.data : 0x80e00000 - 0x80e5af20 ( 364 kB)
.bss : 0x80e5af20 - 0x80ec9bb0 ( 444 kB)
SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=4, Nodes=1
Preemptible hierarchical RCU implementation.
Build-time adjustment of leaf fanout to 32.

0 Kudos
Reply
2,077 Views
pengyong_zhang
NXP Employee
NXP Employee

Hi, @albatros74 

Yes, you can try fix the clock setting, One question you said "they change Xtal ( 25Mhz, the same) which is a little different but basic clock the same", can you tell about more detail about it, what is Xtal? and what's different between old board and your new board?

0 Kudos
Reply
2,076 Views
albatros74
Contributor I

HI Pengyong_Zhang

 

HW team is checking the xtal parts now. they can't find the same xtal which is used old board.

so, they find new part but it is the same clock and specification is almost same.

 

I'm trying to find clock setting now. but I'm not farmilar with clock setting.

I only want to change CPU and DDR clock, not other device.

Do you have any information about this?  (I.MX6Q)

 

bootloader working is good. so, I think that if I changed clock by bootloader, it will work.

( I guess)

 

Thank you in advance.

BR

 
 
0 Kudos
Reply
2,114 Views
pengyong_zhang
NXP Employee
NXP Employee

@albatros74 

Have you try the latest BSP code?

B.R

0 Kudos
Reply
2,085 Views
albatros74
Contributor I
Have you try the latest BSP code?

 

>

I used the same BSP code now. but one is ok, other is not.

I don't know exactly but it is related with clock setting ( I guess)

so, I'm finding the clock setting, I want to fix it ( low clock)

 
 
 
 
0 Kudos
Reply
2,143 Views
albatros74
Contributor I

I explain detail.

Old board : it is working well.

new board : it is not working.


we need to remake main board for some license issue. so we need to make new board. but HW parts and basic components are the same.

HW team said, they change Xtal ( 25Mhz, the same) which is a little different but basic clock the same.

 

b1.txt : old board and normal bring up log

b2.txt : new board and it is not working and log.

 

BR

albatros.

 

0 Kudos
Reply
2,146 Views
pengyong_zhang
NXP Employee
NXP Employee

Hi, @albatros74 

You use two identical boards and the same image, but one board works fine, but the other board doesn't work, Only different is the SD card. right?

B.R

0 Kudos
Reply