Where CLK(input) come from ?

取消
显示结果 
显示  仅  | 搜索替代 
您的意思是: 
已解决

Where CLK(input) come from ?

跳至解决方案
931 次查看
ko-hey
Senior Contributor II

Hi all

I'm using i.MX6Solo and  have questions about uSDHC controller.

According to Figure 67-2 of RM, Async FIFO use a clock that selected from CLK(input) or CLK(output).

Where CLK(input) come from ?

And how can user select CLK(input) or CLK(output) ?

ko-hey

标签 (1)
标记 (2)
0 项奖励
回复
1 解答
843 次查看
Yuri
NXP Employee
NXP Employee

Hello,

Terms CLK, DATA[7:0], and CMD relate to SD interface. In this sense

the CLK(input) is not used on i.MX6 uSDHC. CLK(output) is SD clock,

please refer to section 67.4.5 (Clock Generator) of the RM how it is generated. 

  Also, the base clock is the peripheral clock  ipg_clk (from ipg_clk_root), mentioned
in Table 67-5 (uSDHC Clocks) of the RM.


Have a great day,
Yuri

-----------------------------------------------------------------------------------------------------------------------
Note: If this post answers your question, please click the Correct Answer button. Thank you!
-----------------------------------------------------------------------------------------------------------------------

在原帖中查看解决方案

0 项奖励
回复
2 回复数
844 次查看
Yuri
NXP Employee
NXP Employee

Hello,

Terms CLK, DATA[7:0], and CMD relate to SD interface. In this sense

the CLK(input) is not used on i.MX6 uSDHC. CLK(output) is SD clock,

please refer to section 67.4.5 (Clock Generator) of the RM how it is generated. 

  Also, the base clock is the peripheral clock  ipg_clk (from ipg_clk_root), mentioned
in Table 67-5 (uSDHC Clocks) of the RM.


Have a great day,
Yuri

-----------------------------------------------------------------------------------------------------------------------
Note: If this post answers your question, please click the Correct Answer button. Thank you!
-----------------------------------------------------------------------------------------------------------------------

0 项奖励
回复
843 次查看
ko-hey
Senior Contributor II

Yuri

Thanks.

ko-hey

0 项奖励
回复