How to use the "MIPI_DSI_CLKCTRL.DPHY_SEL" register in i.MX7

取消
显示结果 
显示  仅  | 搜索替代 
您的意思是: 

How to use the "MIPI_DSI_CLKCTRL.DPHY_SEL" register in i.MX7

298 次查看
toshiharu_shimi
Contributor I

Hello,

i.MX7Dual can select "1.5Gbps D-PHY" or "1Gbps D-PHY" with the D-PHY Select bit in the register "MIPI_DSI_CLKCTRL.DPHY_SEL".

In what case should "1Gbps D-PHY" be selected?

toshiharu_shimi_0-1720690358795.png

 

 

标签 (1)
0 项奖励
回复
3 回复数

278 次查看
Alejandro_Salas
NXP TechSupport
NXP TechSupport

Hello @toshiharu_shimi 

The DPHY_SEL bit is used to change the PHY version. 

When it is 0, is selected the 2nd generation running up to 1.5Gbps.

When it is 1, is selected the 1st generation running up to 1.0Gbps.

 

Best regards,

Salas.

0 项奖励
回复

265 次查看
toshiharu_shimi
Contributor I

Hello @Alejandro_Salas 

Thank you for your reply.

Does it mean that there are two D-PHY hardware blocks and I can choose one of them?

toshiharu_shimi_0-1720744359903.png

Normally, should I choose the 1.5Gbps D-PHY? Are there any cases where I should choose the 1.0Gbps D-PHY?
Currently, my product is using the 1.0Gbps D-PHY, but it occasionally malfunctions.
Since changing to the 1.5Gbps D-PHY prevents these malfunctions, should I use the 1.5Gbps D-PHY?

0 项奖励
回复

251 次查看
Alejandro_Salas
NXP TechSupport
NXP TechSupport

Hello @toshiharu_shimi 

 

Yes, your diagram can represent that DPHY_SEL bit is doing.

 

About of 1.0Gbps phy, unfortunately we have not documented when 1.0Gbps is needed to use, but is prefereable to use the default DPHY (1.5Gbps).

 

Best regards,

--... ...--

Salas.

0 项奖励
回复