[IMX8M Mini] Using GPIO1_IO14 as CLKO1 with 48MHz frequency

cancel
Showing results for 
Show  only  | Search instead for 
Did you mean: 

[IMX8M Mini] Using GPIO1_IO14 as CLKO1 with 48MHz frequency

282 Views
namanthaker
Contributor IV
Spoiler
Spoiler
 

Hello,

I want to use the GPIO1_IO14 in IMX8M Mini as CLKO1 clock source for an external module. This clock rates need to be 48MHz.

Does GPIO1_IO14 support 48Mhz clock rate?

Regards,
Naman Thaker

0 Kudos
0 Replies