I.mx6ul EIM muxed 16bits communication with FPGA

cancel
Showing results for 
Show  only  | Search instead for 
Did you mean: 

I.mx6ul EIM muxed 16bits communication with FPGA

542 Views
chsdzczp
Contributor I

Hello!

I'm trying to communicate with a FPGA over EIM  bus on i.MX 6ul. When I use Non Multiplexd  mode,

the data can be send to D[15:0] correctly , but in Multiplexd  mode, the data on D[15:0] is kept as 0x0020. I want to konw whether the D[15:0] can be multiplexed as address  pins in in Multiplexd  mode?

Snipaste_2021-11-05_16-59-30.jpg

 

0 Kudos
1 Reply

527 Views
Yuri
NXP Employee
NXP Employee

@chsdzczp 
Hello,

  There is no such mode, where data bus signals i.MX6UL EIM_D[31-0] may be used
as address bus; only the EIM_DA[15-0] may be applied.

Regards,
Yuri.

0 Kudos