QEI Digital filter related

cancel
Showing results for 
Show  only  | Search instead for 
Did you mean: 

QEI Digital filter related

Jump to solution
674 Views
yansinli
Contributor III

QIZ 1   :  FILTA : Digital filter sampling delay ,  what kind of unit for FILTA?

QIZ2    :  how to set  FILTA?  I need a example of application.  

Labels (1)
0 Kudos
1 Solution
456 Views
Hui_Ma
NXP TechSupport
NXP TechSupport

Hi,

All three encoder inputs (PhA, PhB, and index) require digital filtering.
The number of sample clocks is user programmable from 1 to 4,294,967,295 (0xFFFF FFFF).
The QEI Digital filter on phase A input register [FILTA] bits using to set the filter delay for phA input signal.
From below picture, the digital filter clock source is system clock.

pastedImage_1.png

For example:
If customer set the [FILTA] bits value to 0x10000 with system clock 72MHz, the filter delay will be 910us.
If customer set the [FILTA] bits value to 0x10000 with system clock 12MHz, the filter delay will be 5.5ms.

If customer want to filter the input glitch (200ns width), customer can set the [FILTA] bits value to 0x10 with system clock 72MHz.

Wish it helps.


Have a great day,
Mike

-----------------------------------------------------------------------------------------------------------------------
Note: If this post answers your question, please click the Correct Answer button. Thank you!
-----------------------------------------------------------------------------------------------------------------------

View solution in original post

0 Kudos
1 Reply
457 Views
Hui_Ma
NXP TechSupport
NXP TechSupport

Hi,

All three encoder inputs (PhA, PhB, and index) require digital filtering.
The number of sample clocks is user programmable from 1 to 4,294,967,295 (0xFFFF FFFF).
The QEI Digital filter on phase A input register [FILTA] bits using to set the filter delay for phA input signal.
From below picture, the digital filter clock source is system clock.

pastedImage_1.png

For example:
If customer set the [FILTA] bits value to 0x10000 with system clock 72MHz, the filter delay will be 910us.
If customer set the [FILTA] bits value to 0x10000 with system clock 12MHz, the filter delay will be 5.5ms.

If customer want to filter the input glitch (200ns width), customer can set the [FILTA] bits value to 0x10 with system clock 72MHz.

Wish it helps.


Have a great day,
Mike

-----------------------------------------------------------------------------------------------------------------------
Note: If this post answers your question, please click the Correct Answer button. Thank you!
-----------------------------------------------------------------------------------------------------------------------

0 Kudos