LPC2364 SSI pin mapping

cancel
Showing results for 
Show  only  | Search instead for 
Did you mean: 

LPC2364 SSI pin mapping

348 Views
lpcware
NXP Employee
NXP Employee
Content originally posted in LPCWare by nithin.m@nestgroup.net on Wed Jul 29 00:04:38 MST 2015
Hi,
We are using the LPC2364 for our new automotive sensor project. For this we need to interface the AFE chip DDC114 from TI to the micro controller via Synchronous Serial Interface. The datasheet of LPC2364 indicate that the SSP module in is capable to operate in 4 wire SSI mode. I would linke to know the pin mapping to be done to operate the SSP module in SSI mode. As per the datasheet of DDC 114 (also as per standard SSI definition) the data and clock are differential, so I would like to know the which  SSP clock is to be configured as DOUT+ and DOUT- also which SSP clock should be configured as DCLK+ and DCLK-. if anyone is already done this? please reply..
In our application out of two SSP modules we would like to connect one SPI slave and one SSI connection to DDC114, I have completed an initial level pin mapping with given pin description in the datasheet,

For SPI connection

[color=#f00]SPI CLOCK   - SCK — Serial clock for SPI.                  - Pin no 62     - P0[15]/TXD1/SCK0/SCK
SPI C/S         - SSEL — Slave Select for SPI.               - Pin no 63     - P0[16]/RXD1/SSEL0/SSEL
SPI MISO      - MISO — Master In Slave Out for SPI.    - Pin no 61     - P0[17]/CTS1/MISO0/MISO
SPI MOSI      - MOSI — Master Out Slave In for SPI.    - Pin no 60     - P0[18]/DCD1/MOSI0/MOSI[/color]

For SSI connection

[color=#0c0]SSI CLOCK
SSI_CLK+     - SCK0 — Serial clock for SSP0.            - Pin no 34      - P1[20]/PWM1[2]/SCK0
SSI_CLK-      - SCK1 — Serial Clock for SSP1.           - Pin no 78      - P0[7]/I2STX_CLK/SCK1/MAT2

SSI DATAOUT
SSI_DOUT+  - MOSI0 — MOSI for SSP0.                    - Pin no 38      - P1[24]/PWM1[5]/MOSI0
SSI_DOUT-   - MOSI1 — MOSI for SSP1.                    - Pin no 76      - P0[9]/I2STX_SDA/MOSI1/MAT2[3]

SSI DATA IN
SSI_DIN+      - MISO0 — MISO for  SSP0.                   - Pin no 37      - P1[23]/PWM1[4]/MISO0
SSi_DIN-       - MISO1 — MISO for SSP1.                    - Pin no 77      - P0[8]/I2STX_WS/MISO1/MAT2[2][/color]

Can anyone check and let me know if there is any mistake?
Labels (1)
0 Kudos
0 Replies