cannot program dac of MK64FN1M0VLL12

cancel
Showing results for 
Show  only  | Search instead for 
Did you mean: 

cannot program dac of MK64FN1M0VLL12

453 Views
hunglam
Contributor II

HI,

I have a problem with the dac of the mk64. first of all, I use a self designed board with the mk64 as the mcu. the mcu is clocked by a external crystal with 24MHz. I use an external voltage reference  I use jtag and the Segger j-link as the programmer. further, I also use the frdm64 evaluation board to test my programs. Of course, the on board sda is used to program the mk64. I use kinetis design studio, ksdk 1.2 and processor expert to write my codes. With the frdm64 all programs I ve written work just fine. however, when I tried to program the dac, it seemed to be unresponsive. no matter which digital value I set for the dac, the analog output stayed zero. there weren't any indications that the debugging process had gone wrong. So far I have tested the I2C and the ftm timer of mk64 on my board, and everything has gone smoothly. To make sure that you don't get wrong, I haven't used the same KDS project for the frdm64 board and my own. Only the settings for the DAC is identical.

Hope, someone has a solution for this problem

thanks in advance

Hung

Here is the PE DAC configuration is attached. there isn't much codes that I need to write to start the DAC apart from this command:   DAC_DRV_Output(FSL_DACONV1,2048);

0 Kudos
1 Reply

265 Views
isaacavila
NXP Employee
NXP Employee

Hello Hung,

Have you selected the reference voltage for DAC? It has the option to select between two different sources. Please see this post for more reference: Re: TWR-K60F120M getting the DAC to work

I hope this can help you

Best Regards,

Isaac

----------------------------------------------------------------------------------------------------------------------------------------

Note: If this post answers your question, please click the Correct Answer button. Thank you!

----------------------------------------------------------------------------------------------------------------------------------------

0 Kudos