[IMX8M Mini] Using GPIO1_IO14 as CLKO1 with 48MHz frequency

取消
显示结果 
显示  仅  | 搜索替代 
您的意思是: 

[IMX8M Mini] Using GPIO1_IO14 as CLKO1 with 48MHz frequency

285 次查看
namanthaker
Contributor IV
破坏者
破坏者
 

Hello,

I want to use the GPIO1_IO14 in IMX8M Mini as CLKO1 clock source for an external module. This clock rates need to be 48MHz.

Does GPIO1_IO14 support 48Mhz clock rate?

Regards,
Naman Thaker

0 项奖励
0 回复数