PCA9614 SCL and SDA hang at 0 when bus is in idle

cancel
Showing results for 
Show  only  | Search instead for 
Did you mean: 

PCA9614 SCL and SDA hang at 0 when bus is in idle

652 Views
gb88
Contributor I

Goodmorning,

i'm using the PCA9614 in the configuration of fig.6 of the datasheet (at page 10) with R1 = 604 Ohm and R2 = 121 Ohm with Vss1 not conneted to Vss2 and Vddb1 not connected to Vddb2.

The device is communicating correctly with the devices on the bus but after a while the communication stop, after that is impossible to recover the device, seems broken; i made more investigation and seems that the idle condition (D+ > D-) on the bus is not seen and the SCL line and SDA line hang at 0, like if the bidirectional function of the device is broken.

I can't understand where is the problem, how the device can break in this way we are missing something in the hardware?

Tags (1)
0 Kudos
2 Replies

502 Views
Ben
Senior Contributor I

Hello Giovanni,

Did you put pull-up resistors on the SDA/SCL side (to VddA in Fig 6)?

If not - the lines are floated and you see 0

0 Kudos

502 Views
gb88
Contributor I

Hi Ben, is i pull up the 2 lines with a 4k7 resistors to Vdda, in my application Vdda is equal to Vddb at 5V.

0 Kudos